Logica combinatoria: 21 fatti importanti che dovresti sapere

Definizione logica combinatoria

La logica combinatoria è un tipo di logica in cui l'uscita può essere modificata solo dall'ingresso presente.

Circuiti logici combinati| Cos'è il circuito logico combinatorio?

Il circuito combinatorio è un tipo di circuito in cui l'ingresso di corrente può solo modificare l'uscita di corrente. Questo circuito è anche noto come circuito indipendente dall'orologio perché per il funzionamento non ha bisogno di un orologio. Questo circuito non ha un elemento di memoria o alcun percorso di feedback, quindi il circuito non può memorizzare alcun dato. Un circuito combinatorio può progettare combinando le porte logiche. Il circuito utilizzato nella logica combinatoria viene utilizzato come codifica, decodifica, rilevamento degli errori, manipolazione, ecc. I circuiti di base della logica combinatoria sono multiplexer, decodificatore, codificatore, merda, sommatore, sottrattore, ecc.

immagine 2 1 2

Fig. Schema a blocchi di un circuito combinatorio.

Un circuito logico combinatorio può avere un numero 'n' di variabili di ingresso e un numero 'm' della variabile di uscita. Per la variabile di input 'n', c'è 2n possibili combinazioni di variabili di input. Per ogni combinazione univoca di variabili di input, esiste solo una possibile combinazione di output. La funzione di output è sempre espressa in termini di variabili di input. Una tabella di verità o un'equazione booleana può determinare la relazione tra l'uscita e l'ingresso di un circuito combinatorio.

Tipi di circuiti logici combinati

La classificazione dei circuiti combinatori si basa sull'applicazione utilizzata:

  1. Circuito aritmetico e logico: sommatore, sottrattore, comparatore, ecc.
  2. Trasmissione dati: Multiplexer, Demultiplexer, Encoder, ecc.
  3. Convertitore di codice: convertitore di codice binario, convertitore di codice BCD, ecc.

Porte logiche combinate

Le porte logiche combinatorie sono le porte fondamentali che vengono combinate per formare qualsiasi circuito nell'elettronica digitale. Una porta logica è ideale per implementare una funzione booleana essenziale, ad esempio porta, porta NAND, porta OR, porta NOR, ecc.

Porte logiche combinatorie
Image credit: “Porte logiche” by Plusa è sotto licenza CC BY 2.0

Porta AND:

La porta AND ha due o più ingressi con un'uscita. L'uscita è alta significa '1' quando tutto l'ingresso è alto; in caso contrario, il risultato è basso significa '0'.

immagine 3 2

Fig. Schema logico della porta AND

Porta OR:

La porta OR ha due o più ingressi e un'uscita. L'uscita è alta significa '1' quando almeno un ingresso è alto; in caso contrario, il risultato è basso, che significa '0'. Ma nella porta OR commerciale con i tipi di input 2,3 e $ è disponibile.

Immagine

Fig. Schema logico della porta OR

NON cancello:

NOT gate ha un ingresso con un'uscita. Quando l'ingresso è alto significa '1', quindi l'uscita della porta NOT sarà bassa, che significa '0'.

immagine 4 1

Fig. Schema logico della porta NOT

Porta NAND:

La porta NAND significa NON AND, qui l'uscita della porta AND alimenta la porta NOT. La porta NAND può essere progettata dalla tabella di verità della porta AND integrando le variabili di output. Il risultato della porta NAND è basso quando tutti gli ingressi logici sono alti. In caso contrario, l'uscita è alta.

immagine 5 1

Fig. Schema logico della porta NAND

NOR cancello:

NOR significa NON OR cancello. Qui l'uscita del gate OR viene alimentata al gate NOT. Porta NOR progettata dalla tabella di verità della porta OR completando tutte le variabili di output. L'uscita di una porta NOR è alta quando tutti gli ingressi sono bassi. In caso contrario, l'uscita è bassa.

immagine 6 1

Fig. Schema logico della porta NOR

Porta XOR:

Porta XOR significa porta Exclusive-OR, nota anche come porta EX-OR, ha due ingressi e un'uscita. Per due porte di ingresso, l'uscita della porta XOR è alta, il che significa "1" quando il bit di ingresso è diverso e l'uscita è bassa significa "0" quando c'è un ingresso simile.

immagine 7 1

Fig. Schema logico della porta XOR

Porta XNOR:

XNOR significa cancello Exclusive-NOR, noto anche come EX-NOR; NON è di EX-OR. L'uscita di una porta XNOR a due ingressi è alta, il che significa "1" quando l'ingresso è simile e basso quando, a differenza dell'ingresso.

immagine 8 1

Fig. Schema logico della porta XNOR

Esempi di logica combinatoria| Esempi di circuiti logici combinati

Mezzo sommatore:

Mezzo sommatore è un esempio di circuito combinatorio, in cui possiamo aggiungere due bit. Ha due input, ciascuno di un bit e due output, in cui uno è l'output del riporto e l'altro è per l'output della somma.

immagine 9 1 1

Fig. Schema logico di mezzo sommatore progettato con porta AND e porta XOR.

sommatore completo:

Il sommatore completo è un esempio del circuito combinatorio aritmetico; qui, possiamo aggiungere il loro bit alla volta e ha due output sum e carry. In mezzo sommatore, potremmo aggiungere solo due bit alla volta. Un sommatore completo supera questa limitazione; un sommatore completo è essenziale per aggiungere un numero binario enorme. Tuttavia, un sommatore completo può aggiungere un solo numero binario a un bit alla volta, ma collegando a cascata il sommatore completo, possiamo aggiungere un numero binario più esteso. Tuttavia, possiamo creare un sommatore completo combinando due mezzi sommatori.

immagine 10 2

Fig. Schema a blocchi del sommatore completo

Mezzo sottrattore:

Un mezzo sottrattore è un circuito combinatorio aritmetico che esegue la sottrazione di due bit di input e fornisce due output, uno come differenza e l'altro come prestito. La progettazione del circuito sottrattore è principalmente simile a quella di un sommatore. Non posso prendere in considerazione alcun input in prestito.

immagine 11 1

Fig. Schema logico di mezzo sottrattore progettato con porta AND, porta NOT e porta XOR.

Sottrattore completo:

Lunga sottrattore è anche un circuito combinatorio aritmetico, in cui possiamo eseguire la sottrazione di tre input a un bit, gli input sono il minuendo, il sottraendo e un prestito. Genera due output, uno come differenza dell'input e l'altro come prestito.

immagine 12 1

Fig. Schema a blocchi del sottrattore completo.

multiplexer:

Il multiplexer ha più ingressi e una singola uscita e ha una linea di selezione che seleziona un ingresso alla volta come requisito. Lo invia alla riga di output e per il numero 'n' di input qui, abbiamo bisogno del numero 'm' della riga select dove n = 2m. Ha anche una linea di ingresso abilitata, che ci consente di collegare in cascata multiplexer o ulteriori espansioni secondo necessità. Viene anche chiamato selettore di dati. 16: 1 È il più grande multiplexer disponibile in forma IC.

immagine 13 2

Fig. Schema a blocchi del Multiplexer.

Demultiplatore:

Il demultiplatore ha un solo ingresso e più uscite. Ha una linea di selezione che seleziona una linea di output alla volta; con la linea selezionata, possiamo distribuire il segnale di ingresso in molte linee di uscita come nostro requisito. Per il numero 'n' della riga di output qui, abbiamo bisogno del numero 'm' della riga selezionata dove n = 2m. demultiplexer può funzionare come convertitore da binario a decimale.

immagine 14 2

Fig. Schema a blocchi del Demultiplexer.

Comparatore:

Un comparatore è un circuito combinatorio in cui può confrontare la grandezza di un numero di due n bit e fornirci il risultato relativo come output. Può avere tre uscite. Ad esempio, l'input che forniamo A e B al comparatore dove A e B possono essere un numero di n bit l'output del comparatore può essere A B. Il circuito controlla l'ampiezza dell'ingresso e la confronta; c'è una porta di uscita diversa per A=B, A>B e A

immagine 15 1

Fig. Schema a blocchi del comparatore a n bit

Codificatore:

I l'encoder è un circuito combinatorio. Ne ha 2n linee di input e ha 'n' linee di output corrispondenti all'input del codice a n bit.

immagine 16 1

Fig. Schema a blocchi dell'Encoder.

decoder:

È un circuito che converte n linee di ingresso binarie in un massimo di 2n linee di uscita.

immagine 17 1

Fig. Schema a blocchi di un decoder.

sommatore BCD:

Un sommatore BCD è un circuito combinatorio aritmetico utilizzato per eseguire addizioni su numeri BCD, cifre e output prodotto in forma BCD. A volte l'output di un sommatore BCD può essere un numero BCD valido, quindi converte quel numero BCD non valido in valido aggiungendo 0110 all'output non valido.

Sottrattore in BCD:

Un sottrattore BCD consiste nell'effettuare la sottrazione sul numero BCD. Se prendiamo due numeri BCD in ingresso, uno come A e l'altro come B, la sottrazione del numero BCD è equivalente all'aggiunta di un complimento di B ad A. In BCD, viene utilizzato il metodo del complemento a 9 o del complemento a 10.

ALU (Unità Logica Aritmetica):

 Il circuito dell'unità logica aritmetica è ampiamente utilizzato come circuito combinatorio e questo circuito viene utilizzato per eseguire tutte le operazioni aritmetiche e logiche per un processore. ALU è noto come il cuore di un microprocessore o microcontrollore.

File:blocco ALU.gif
Immagine di credito: "File:ALU block.gif" by Lambtron è sotto licenza CC BY-SA 4.0

Logica combinatoria con MSI e LSI

MSI sta per "Integrazione su media scala", può contenere da 30 a 1000 componenti elettronici in un singolo chip di IC. LSI sta per "Integrazione su larga scala", può avere migliaia di componenti incorporati e integrati su un singolo IC.

Sommatore con MSI e LSI:

TABELLA DELLA VERITÀ:

ABCSC
00000
00110
01010
01101
10010
10101
11001
11111

Equazione per somma:

S=AB'C+A'BC+AB

Trasportare:

C=AB'C+A'BC+AB

immagine 18 2

Fig. Implementazione di Full-Adder in circuiti MSI o LSI.

Progettazione logica combinatoria |Progettare un circuito logico combinatorio

L'obiettivo della progettazione della logica combinatoria:

  • Per ottenere l'output desiderato dai circuiti.
  • Un circuito economico significa con spese minime costruire un circuito.
  • La complessità del circuito deve essere ridotta il più possibile.
  • Con un numero minimo di porte, un circuito digitale dovrebbe essere progettato per ridurre al minimo il ritardo complessivo del circuito.

Il circuito combinatorio può essere progettato con il multiplexer, procedura per la progettazione:

  • Determinare il numero di variabili di ingresso e di uscita del circuito richiesto.
  • Ottenere il tabella di verità o diagramma logico del circuito richiesto.
  • Dalla tabella di verità o logica, il diagramma determina l'espressione booleana del circuito richiesto e la espande in minterm, e ciascuno definisce una linea dati univoca del multiplexer.
  • Per 'n' numero di input, le variabili ottengono 2n a 1 multiplexer.
  • Con l'aiuto di una linea e di un ingresso selezionati, è possibile ottenere l'uscita dal multiplexer in base al circuito desiderato.

Progettazione di circuiti combinati mediante porte logiche

La progettazione di un circuito logico combinatorio può essere eseguita con le porte, mentre le porte sono praticamente disponibili come IC. Per porte diverse, sono disponibili altri circuiti integrati con numeri di circuiti integrati diversi.

Passaggi o procedura per ottenere il circuito logico combinatorio richiesto:

  • Determinare il numero di variabili di input o output richieste per l'operazione tramite la tabella di verità, l'istruzione booleana o l'espressione specificate.
  • Ricavare l'espressione sotto forma di somma di prodotto (SOP) o prodotto di somma (POS).
  • Riduci l'espressione usando il metodo di riduzione booleano o K-map.
  • È possibile progettare il circuito con il numero richiesto di porte nel diagramma logico tramite l'espressione ridotta.

Funzioni della logica combinatoria

Le funzioni di una logica combinatoria possono essere definite con la tabella della verità, il diagramma logico o l'equazione booleana.

Tabella della verità: La tabella della verità è un elenco tabellare di tutte le possibili combinazioni binarie della variabile di ingresso e della relativa combinazione di uscita di un circuito logico. Ci sono solo due possibilità di un bit di ingresso o di uscita, cioè '0' e '1'. Se il numero di input è 'n', ci saranno 2n combinazioni. In questa tabella è presente una riga per rappresentare le combinazioni di input e diverse righe per le combinazioni di output. Questo può essere ottenuto dal diagramma logico o dall'espressione booleana del circuito.

Diagramma logico: Lo schema logico è composto principalmente da una porta logica di base e da alcune rappresentazioni simboliche del circuito. Ci mostra l'interconnessione delle porte logiche, rappresenta alcune linee di segnale (come abilita, seleziona linea, linee di controllo, ecc.). Viene utilizzato per definire la funzionalità dei circuiti. Può essere ottenuto tramite l'espressione booleana o la tabella di verità della circuiteria.

Espressione booleana: Questa è un'equazione formata dalla combinazione di variabile di input e output; qui, l'espressione viene principalmente utilizzata per definire la variabile di output della variabile di input. Questa espressione può essere derivata dalla tabella della verità o dal diagramma logico del circuito.

Esempi di vita reale di circuiti logici combinati

Nella vita reale, possiamo vedere il circuito combinatorio nella calcolatrice, la RAM (Random Access Memory), il sistema di comunicazione, l'unità aritmetica e logica nella CPU (Unità di elaborazione centrale), la comunicazione dati, il wi-fi, il telefono cellulare, il computer, ecc. Questi sono un esempio di vita reale di dove viene utilizzato il circuito combinatorio.

Procedura di analisi in logica combinatoria

L'analisi del circuito combinatorio è l'analisi di un dato circuito logico o di uno schema circuitale; da qui possiamo raccogliere informazioni sul circuito. Un l'analisi consiste nel verificare i comportamenti del circuito con le sue specifiche; analisi di un circuito può essere utilizzato per ridurre il numero di porte, ottimizzare, ridurre il ritardo o convertire il circuito in un'altra forma richiesta.

Procedura di analisi della logica combinatoria:

  • Determinare la variabile di uscita del circuito e provare a ottenere una tabella di verità o un diagramma logico del circuito con variabili di ingresso e uscita.
  • Attraverso una tabella di verità o un diagramma logico del circuito, definire la funzione booleana con l'aiuto di variabili di input e output.

Verilog per logica combinatoria loop Loop

Cos'è un ciclo combinatorio?

L'anello combinatorio è un anello in cui l'uscita di una logica combinatoria (che può essere costituita da una o più porte logiche combinatorie) è retroazionata alla stessa logica senza alcun elemento di memoria nel percorso di retroazione.

Tipi del ciclo combinatorio:

  • Non equivalente a latch
  • Equivalente a latch
immagine 19 1

Fig. Latch di tipo ad anello combinato

Verilog per la logica combinatoria del ciclo:

Se(sel==1'b0)

Y=io;

altro

Y=Y;

Qui è implementato il ciclo combinatorio, che è equivalente al latch.

Circuiti logici combinati CMOS| Reti logiche combinatorie

CI logici CMOS_52672-480x360
Immagine di credito: “CMOS-Logic-IC_52672-480×360” by Foto di pubblico dominio è sotto licenza CC BY 2.0

Il CMOS statico è ampiamente utilizzato per i circuiti perché ha buone prestazioni e basso consumo energetico. Una porta CMOS è una combinazione di una rete pull-up (PUN) e una rete pull-down (PDN); un ingresso è distribuito a entrambi i circuiti pull-up e pull-down.

La funzione della rete pull-up è quella di collegare l'uscita con la sorgente di tensione quando l'uscita deve essere '1'. Considerando che una rete pull-down fornisce la connessione tra la terra e l'uscita quando l'uscita è destinata a essere '0'. La rete pull-down è progettata con NMOS e PMOS è utilizzato in PUN. NMOS è collegato in serie per formare la funzione AND, mentre quando è collegato in parallelo dalla funzione OR. Dove PMOS in forma parallela viene emesso come funzione NAND e la serie forma funzione NOR.

immagine 20 2

Fig. Diagramma CMOS del mezzo sommatore.

 CMOS è una rete complementare. Ciò significa che per la connessione parallela nella rete pull-up c'è la connessione in serie nella rete pull-down. La porta complementare è generalmente invertente. Con uno stadio, può eseguire una funzione come NAND, NOR e XNOR, e per funzioni booleane non invertenti come AND, OR e XOR, è necessario uno stadio inverter aggiuntivo. Il numero di transistor per l'implementazione della porta logica n-input è 2n.

Logica combinatoria MUX

MUX ie, Multiplexer è un progetto logico combinatorio, ha solo un'uscita e può avere più ingressi. Ha 'n' select line for2n ingresso, la linea di selezione si usa per selezionare quale linea di ingresso sarà collegata alla linea di uscita.

immagine 13 1

Fig. Schema a blocchi di un multiplexor 4:1

TABELLA DI VERITA' DEL MULTIPLEXOR 4:1:

S1S2Y
00I0
01I1
10I2
11I3

Serratura a combinazione semplice con porte logiche

Un semplice aspetto combinatorio è un circuito progettato con porte XOR e NOR, dove la porta XOR è un comparatore di bit e la porta NOR viene utilizzata come inverter controllato. Possiamo usare XOR per controllare e confrontare bit per bit l'input e il codice chiave; se l'input corrisponde completamente al codice della chiave, la serratura verrà sbloccata. Quando gli input e non lo stesso XOR forniscono '1' come output, ora l'output passerà attraverso la porta NOR. In questo modo, possiamo progettare una semplice serratura utilizzando i cancelli.

Applicazioni per circuiti logici combinati

I circuiti logici combinatori sono il circuito di base nell'elettronica digitale anche circuito sequenziale è progettato dal circuito combinatorio con l'elemento di memoria.

Questi circuiti vengono utilizzati per progettare la ROM di un computer o di un microprocessore. La ROM (Read Only Memory) è progettata con Encoder, Decoder, Multiplexer, Adder Circuitry, Subtractor Circuitry, ecc., Che sono tutti circuiti combinatori.

Mentre l'ALU (unità aritmetica e logica) nel processore, anch'esso proveniente dal circuito combinatorio, è costituito principalmente da Adder, Subtractor, ecc., per eseguire ogni operazione aritmetica.

Encoder e decoder vengono utilizzati per convertire una forma di dati in un'altra (come da binario a decimale); questi sono comunemente usati nella comunicazione per trasferire dati da un capo all'altro. Questo circuito fornisce la sincronizzazione se necessario; con l'aiuto di questi, possiamo eseguire qualsiasi operazione con maggiore precisione.

Un multiplexer viene utilizzato per trasferire i dati su un'unica riga. Questo circuito viene utilizzato nelle trasmissioni, nella telegrafia, ecc.

Svantaggi dei circuiti logici combinati

La limitazione o lo svantaggio del mezzo sommatore viene superato da un sommatore completo, mentre il sottrattore completo supera la restrizione del mezzo sottrattore.

Svantaggi del multiplexer: limitazione dell'utilizzo della porta, che può essere utilizzata in una sequenza specifica. Il circuito può causare ritardi.

Lo svantaggio di Demultiplexer: spreco di larghezza di banda, ritardo dovuto alla sincronizzazione.

Svantaggi dell'encoder: i circuiti complessi possono essere facilmente soggetti a interferenze magnetiche.

Nel complesso, il circuito combinatorio è complesso man mano che il circuito si ingrandisce; in circuiti più grandi, può esserci un elevato ritardo di propagazione, non ha alcun elemento di memoria.

Circuiti logici combinati MCQ | Problemi e soluzioni del circuito logico combinatorio | Domande frequenti

Cos'è la logica combinatoria Quali sono le sue caratteristiche ?

Descritto in Circuito logico combinatorio .

Che cos'è il demultiplatore 1*4 nei circuiti logici combinatori?

Un demultiplatore da 1 a 4 ha due linee di selezione, quattro uscite e un ingresso. I dati di input collegati alla linea di output in base alla riga selezionata.

immagine 14 1

Fig. Schema a blocchi del demultiplatore 1:4

Tabella della verità:

INGRESSI   USCITE 
S1S0Y3Y2Y1Y0
000001
010010
100100
111000

Puoi mai avere metastabilità con pura logica combinatoria? ?

Sì, può esserci uno stato di metastabilità per qualche tempo nella pura logica combinatoria.

             La metastabilità si riferisce allo stato che non può essere definito come '0' o '1'. Di solito, questo accade a un circuito quando la tensione è bloccata tra "0" e "1", il che può causare oscillazioni, uscita incerta, transizione poco chiara, ecc. Quando un tale segnale passa attraverso il circuito combinatorio, può violare le porte di base " specificazione e diffusione attraverso il circuito complessivo.

Ad esempio, quando si prende il circuito dato, come vediamo qui, c'è una porta AND e una porta NOT, praticamente un circuito ha un certo ritardo di propagazione; poiché la porta AND ha un certo ritardo di propagazione, la porta NOT deve. Come sappiamo, l'uscita dovrebbe essere definita in ogni momento, ma esiste un intervallo di tempo T in cui lo stato di uscita o lo stato di transizione non è definito o indesiderabile. Tale stato a quell'intervallo di tempo può essere considerato come metastabilità di un circuito logico combinatorio puro.

Considerazione progettuale di diversi circuiti logici combinatori in VHDL.

Per la progettazione di circuiti, è necessario conoscere le basi di VHDL, come rappresentare una funzione booleana, rappresentare una porta fondamentale, ecc.

Qui consideriamo come esempio il sommatore completo:

Nel VHDL:

L'entità FullAdder è

Porta (A, B, C: in bit;

D, S : bit di uscita);

fine FullAdder

Vantaggi della progettazione e del test di circuiti logici combinatori utilizzando lo schema di test automatico

vantaggi:

  • Costo inferiore per il test.
  • Il guasto può essere facilmente rilevato.
  • Tempo di prova più breve.
  • Per una maggiore affidabilità del circuito, viene utilizzato uno schema di autotest.

Qual è la differenza tra circuito logico combinatorio e sequenziale?

Di sapere logica sequenziale clicca qui.

Lascia un tuo commento